> build_npc_VKeyboard_nvboard

ysyx_22040000 李心杨
 Linux calcite 6.1.69 #1-NixOS SMP PREEMPT_DYNAMIC Wed Dec 20 16:00:29 UTC 2023 x86_64 GNU/Linux
  20:48:55  up 2 days 19:49,  2 users,  load average: 0.84, 0.81, 0.86
This commit is contained in:
tracer-ysyx 2024-01-10 20:48:55 +08:00 committed by xinyangli
parent d35f3cea17
commit 187aa3fc23

View file

@ -34,7 +34,7 @@ class SegGenerator(seg_count: Int) extends Module {
val keycode = RegInit(0.U(8.W))
val counter = Counter(0xFF)
val release_state = false.B
val release_state = RegInit(Bool(), false.B)
when(io.keycode.ready && io.keycode.valid) {
when(io.keycode.bits === 0xF0.U) {
release_state := true.B