ysyx-workbench/npc/vsrc/example.v

7 lines
No EOL
77 B
Verilog

module top(
input a,
input b,
output f
);
assign f = a ^ b;
endmodule