ysyx-workbench/npc/vsrc/example.v

7 lines
77 B
Coq
Raw Normal View History

module top(
input a,
input b,
output f
);
assign f = a ^ b;
endmodule