fix: unnecessary chisel test

This commit is contained in:
xinyangli 2024-01-11 15:15:33 +08:00
parent 4a38cb566b
commit fd3838a61d
No known key found for this signature in database
2 changed files with 1 additions and 10 deletions

View file

@ -60,13 +60,3 @@ class KeyboardControllerSpec extends AnyFreeSpec with ChiselScalatestTester {
} }
} }
} }
class SegSpec extends AnyFreeSpec with ChiselScalatestTester {
"try out vec" in {
test(new SegGenerator(8)) {c =>
c.io.keycode.bits.poke(0xAC)
c.clock.step(1)
println(s"out: ${c.io.segs(0).peek().litValue}")
}
}
}

View file

@ -37,6 +37,7 @@
sbt sbt
nur.xin.nvboard nur.xin.nvboard
self.packages.${system}.circt self.packages.${system}.circt
yosys
]; ];
buildInputs = [ buildInputs = [
verilator verilator