fix: missing files from git

This commit is contained in:
xinyangli 2024-01-05 23:55:58 +08:00
parent 77ea7e3b8c
commit ccbcbabb27
5 changed files with 157 additions and 624 deletions

2
.gitignore vendored
View file

@ -1,5 +1,3 @@
*.*
*
!*/
!/nemu/*
!/nexus-am/*

View file

@ -1,622 +0,0 @@
# CMAKE generated file: DO NOT EDIT!
# Generated by "Unix Makefiles" Generator, CMake Version 3.27
# Default target executed when no arguments are given to make.
default_target: all
.PHONY : default_target
# Allow only one "make -f Makefile2" at a time, but pass parallelism.
.NOTPARALLEL:
#=============================================================================
# Special targets provided by cmake.
# Disable implicit rules so canonical targets will work.
.SUFFIXES:
# Disable VCS-based implicit rules.
% : %,v
# Disable VCS-based implicit rules.
% : RCS/%
# Disable VCS-based implicit rules.
% : RCS/%,v
# Disable VCS-based implicit rules.
% : SCCS/s.%
# Disable VCS-based implicit rules.
% : s.%
.SUFFIXES: .hpux_make_needs_suffix_list
# Command-line flag to silence nested $(MAKE).
$(VERBOSE)MAKESILENT = -s
#Suppress display of executed commands.
$(VERBOSE).SILENT:
# A target that is always out of date.
cmake_force:
.PHONY : cmake_force
#=============================================================================
# Set environment variables for the build.
# The shell in which to execute make rules.
SHELL = /bin/sh
# The CMake executable.
CMAKE_COMMAND = /nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake
# The command to remove a file.
RM = /nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -E rm -f
# Escaping for special characters.
EQUALS = =
# The top-level source directory on which CMake was run.
CMAKE_SOURCE_DIR = /home/xin/repo/ysyx-workbench/npc
# The top-level build directory on which CMake was run.
CMAKE_BINARY_DIR = /home/xin/repo/ysyx-workbench/npc/core
#=============================================================================
# Targets provided globally by CMake.
# Special rule for the target edit_cache
edit_cache:
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "No interactive CMake dialog available..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -E echo No\ interactive\ CMake\ dialog\ available.
.PHONY : edit_cache
# Special rule for the target edit_cache
edit_cache/fast: edit_cache
.PHONY : edit_cache/fast
# Special rule for the target rebuild_cache
rebuild_cache:
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Running CMake to regenerate build system..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake --regenerate-during-build -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR)
.PHONY : rebuild_cache
# Special rule for the target rebuild_cache
rebuild_cache/fast: rebuild_cache
.PHONY : rebuild_cache/fast
# Special rule for the target list_install_components
list_install_components:
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Available install components are: \"Unspecified\""
.PHONY : list_install_components
# Special rule for the target list_install_components
list_install_components/fast: list_install_components
.PHONY : list_install_components/fast
# Special rule for the target install
install: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Install the project..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -P cmake_install.cmake
.PHONY : install
# Special rule for the target install
install/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Install the project..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -P cmake_install.cmake
.PHONY : install/fast
# Special rule for the target install/local
install/local: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Installing only the local directory..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake
.PHONY : install/local
# Special rule for the target install/local
install/local/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Installing only the local directory..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -DCMAKE_INSTALL_LOCAL_ONLY=1 -P cmake_install.cmake
.PHONY : install/local/fast
# Special rule for the target install/strip
install/strip: preinstall
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Installing the project stripped..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake
.PHONY : install/strip
# Special rule for the target install/strip
install/strip/fast: preinstall/fast
@$(CMAKE_COMMAND) -E cmake_echo_color "--switch=$(COLOR)" --cyan "Installing the project stripped..."
/nix/store/4vq5ggsg1vmfs09r4sqbidmgvqlxrv14-cmake-3.27.8/bin/cmake -DCMAKE_INSTALL_DO_STRIP=1 -P cmake_install.cmake
.PHONY : install/strip/fast
# The main all target
all: cmake_check_build_system
$(CMAKE_COMMAND) -E cmake_progress_start /home/xin/repo/ysyx-workbench/npc/core/CMakeFiles /home/xin/repo/ysyx-workbench/npc/core//CMakeFiles/progress.marks
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 all
$(CMAKE_COMMAND) -E cmake_progress_start /home/xin/repo/ysyx-workbench/npc/core/CMakeFiles 0
.PHONY : all
# The main clean target
clean:
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 clean
.PHONY : clean
# The main clean target
clean/fast: clean
.PHONY : clean/fast
# Prepare targets for installation.
preinstall: all
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 preinstall
.PHONY : preinstall
# Prepare targets for installation.
preinstall/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 preinstall
.PHONY : preinstall/fast
# clear depends
depend:
$(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 1
.PHONY : depend
#=============================================================================
# Target rules for targets named ChiselBuild
# Build rule for target.
ChiselBuild: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 ChiselBuild
.PHONY : ChiselBuild
# fast build rule for target.
ChiselBuild/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/ChiselBuild.dir/build.make CMakeFiles/ChiselBuild.dir/build
.PHONY : ChiselBuild/fast
#=============================================================================
# Target rules for targets named VMain
# Build rule for target.
VMain: cmake_check_build_system
$(MAKE) $(MAKESILENT) -f CMakeFiles/Makefile2 VMain
.PHONY : VMain
# fast build rule for target.
VMain/fast:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/build
.PHONY : VMain/fast
CMakeFiles/VMain.dir/VMain.dir/VMain.o: CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain.i: CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain.s: CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.o: CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.i: CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.s: CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.o: CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.i: CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.s: CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.o: CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.i: CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.s: CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.o: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.i: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.s: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.o: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.i: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.s: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.o: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.i: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.s: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.o: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.i: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.s: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.cpp.s
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.o: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.o
# target to build an object file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.o
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.o
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.i: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.i
# target to preprocess a source file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.i
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.i
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.s: CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.s
# target to generate assembly for a file
CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.s
.PHONY : CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.cpp.s
auto_bind.o: auto_bind.cpp.o
.PHONY : auto_bind.o
# target to build an object file
auto_bind.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/auto_bind.cpp.o
.PHONY : auto_bind.cpp.o
auto_bind.i: auto_bind.cpp.i
.PHONY : auto_bind.i
# target to preprocess a source file
auto_bind.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/auto_bind.cpp.i
.PHONY : auto_bind.cpp.i
auto_bind.s: auto_bind.cpp.s
.PHONY : auto_bind.s
# target to generate assembly for a file
auto_bind.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/auto_bind.cpp.s
.PHONY : auto_bind.cpp.s
csrc_nvboard/main.o: csrc_nvboard/main.cpp.o
.PHONY : csrc_nvboard/main.o
# target to build an object file
csrc_nvboard/main.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/csrc_nvboard/main.cpp.o
.PHONY : csrc_nvboard/main.cpp.o
csrc_nvboard/main.i: csrc_nvboard/main.cpp.i
.PHONY : csrc_nvboard/main.i
# target to preprocess a source file
csrc_nvboard/main.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/csrc_nvboard/main.cpp.i
.PHONY : csrc_nvboard/main.cpp.i
csrc_nvboard/main.s: csrc_nvboard/main.cpp.s
.PHONY : csrc_nvboard/main.s
# target to generate assembly for a file
csrc_nvboard/main.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/csrc_nvboard/main.cpp.s
.PHONY : csrc_nvboard/main.cpp.s
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.o: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.o
# target to build an object file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.o
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.i: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.i
# target to preprocess a source file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.i
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.s: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.s
# target to generate assembly for a file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.cpp.s
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.o: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.o
# target to build an object file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.o
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.i: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.i
# target to preprocess a source file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.i
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.s: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.s
# target to generate assembly for a file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.cpp.s
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.o: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.o
# target to build an object file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.o
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.i: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.i
# target to preprocess a source file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.i
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.s: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.s
# target to generate assembly for a file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.cpp.s
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.o: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.o
# target to build an object file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.o:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.o
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.o
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.i: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.i
# target to preprocess a source file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.i:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.i
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.i
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.s: nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.s
# target to generate assembly for a file
nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.s:
$(MAKE) $(MAKESILENT) -f CMakeFiles/VMain.dir/build.make CMakeFiles/VMain.dir/nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.s
.PHONY : nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.cpp.s
# Help Target
help:
@echo "The following are some of the valid targets for this Makefile:"
@echo "... all (the default if no target is provided)"
@echo "... clean"
@echo "... depend"
@echo "... edit_cache"
@echo "... install"
@echo "... install/local"
@echo "... install/strip"
@echo "... list_install_components"
@echo "... rebuild_cache"
@echo "... ChiselBuild"
@echo "... VMain"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Syms.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain__Trace__0__Slow.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_h3502859f__0__Slow.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__DepSet_hda8ec1d0__0__Slow.s"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.o"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.i"
@echo "... CMakeFiles/VMain.dir/VMain.dir/VMain___024root__Slow.s"
@echo "... auto_bind.o"
@echo "... auto_bind.i"
@echo "... auto_bind.s"
@echo "... csrc_nvboard/main.o"
@echo "... csrc_nvboard/main.i"
@echo "... csrc_nvboard/main.s"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.o"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.i"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated.s"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.o"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.i"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_cov.s"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.o"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.i"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_threads.s"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.o"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.i"
@echo "... nix/store/lyzgj5m2zhsw9m3v1fnzr3dp1z9myyaz-verilator-5.018/share/verilator/include/verilated_vcd_c.s"
.PHONY : help
#=============================================================================
# Special targets to cleanup operation of make.
# Special rule to run CMake to check the build system integrity.
# No rule that depends on this can have commands that come from listfiles
# because they might be regenerated.
cmake_check_build_system:
$(CMAKE_COMMAND) -S$(CMAKE_SOURCE_DIR) -B$(CMAKE_BINARY_DIR) --check-build-system CMakeFiles/Makefile.cmake 0
.PHONY : cmake_check_build_system

22
npc/core/build.sbt Normal file
View file

@ -0,0 +1,22 @@
ThisBuild / scalaVersion := "2.13.12"
ThisBuild / version := "0.1.0"
val chiselVersion = "5.1.0"
lazy val root = (project in file("."))
.settings(
name := "ChiselLearning",
libraryDependencies ++= Seq(
"org.chipsalliance" %% "chisel" % chiselVersion,
"edu.berkeley.cs" %% "chiseltest" % "5.0.2" % "test"
),
scalacOptions ++= Seq(
"-language:reflectiveCalls",
"-deprecation",
"-feature",
"-Xcheckinit",
"-Ymacro-annotations",
),
addCompilerPlugin("org.chipsalliance" % "chisel-plugin" % chiselVersion cross CrossVersion.full),
)

View file

@ -0,0 +1,64 @@
package npc
import chisel3._
import chisel3.stage.ChiselOption
class RegisterFile(readPorts: Int) extends Module {
require(readPorts >= 0)
val io = IO(new Bundle {
val writeEnable = Input(Bool())
val writeAddr = Input(UInt(5.W))
val writeData = Input(UInt(32.W))
val readAddr = Input(Vec(readPorts, UInt(5.W)))
val readData = Output(Vec(readPorts, UInt(32.W)))
})
val regFile = RegInit(VecInit(Seq.fill(32)(0.U(32.W))))
for (i <- 1 until 32) {
regFile(i) := regFile(i)
}
regFile(io.writeAddr) := Mux(io.writeEnable, io.writeData, regFile(io.writeAddr))
regFile(0) := 0.U
for (i <- 0 until readPorts) {
io.readData(i) := regFile(io.readAddr(i))
}
}
class MuxGenerator(width: Int, nInput: Int) extends Module {
require(width >= 0)
require(nInput >= 1)
require(nInput.toBinaryString.map(_ - '0').sum == 1)
val io = IO(new Bundle {
val in = Input(Vec(nInput, UInt(width.W)))
val sel = Input(UInt(nInput.toBinaryString.reverse.indexOf('1').W))
val out = Output(UInt(width.W))
})
io.out := io.in(io.sel)
}
class Test extends Module {
val io = IO(new Bundle {
val in = Input(UInt(32.W))
val out = Output(UInt(32.W))
})
val regFile = Module(new RegisterFile(2))
regFile.io.writeEnable := true.B
regFile.io.writeAddr := 1.U
regFile.io.writeData := io.in
regFile.io.readAddr(0) := 0.U
regFile.io.readAddr(1) := 1.U
io.out := regFile.io.readData(1)
}
class Switch extends Module {
val io = IO(new Bundle {
val sw = Input(Vec(2, Bool()))
val out = Output(Bool())
})
io.out := io.sw(0) ^ io.sw(1)
}

View file

@ -0,0 +1,71 @@
import chisel3._
import chiseltest._
import org.scalatest.freespec.AnyFreeSpec
class RegisterFileSpec extends AnyFreeSpec with ChiselScalatestTester {
"RegisterFile should work" - {
"with 2 read ports" in {
test(new RegisterFile(2)) { c =>
def readExpect(addr: Int, value: Int, port: Int = 0): Unit = {
c.io.readAddr(port).poke(addr.U)
c.io.readData(port).expect(value.U)
}
def write(addr: Int, value: Int): Unit = {
c.io.writeEnable.poke(true.B)
c.io.writeData.poke(value.U)
c.io.writeAddr.poke(addr.U)
c.clock.step(1)
c.io.writeEnable.poke(false.B)
}
// everything should be 0 on init
for (i <- 0 until 32) {
readExpect(i, 0, port = 0)
readExpect(i, 0, port = 1)
}
// write 5 * addr + 3
for (i <- 0 until 32) {
write(i, 5 * i + 3)
}
// check that the writes worked
for (i <- 0 until 32) {
readExpect(i, if (i == 0) 0 else 5 * i + 3, port = i % 2)
}
}
}
}
}
class MuxGeneratorSpec extends AnyFreeSpec with ChiselScalatestTester {
"MuxGenerator should work" - {
"when there are 2 inputs" in {
test(new MuxGenerator(8, 2)) { c =>
c.io.in(0).poke(0.U)
c.io.in(1).poke(1.U)
c.io.sel.poke(0.U)
c.io.out.expect(0.U)
c.io.sel.poke(1.U)
c.io.out.expect(1.U)
}
}
"when there are 1024 inputs" in {
test(new MuxGenerator(32, 1024)) { c =>
for (i <- 0 until 1024) {
c.io.in(i).poke(i.U)
}
for (i <- 0 until 1024) {
c.io.sel.poke(i.U)
c.io.out.expect(i.U)
}
}
}
}
"MuxGenerator should raise exception" - {
"when nInput is not 2^n" in {
assertThrows[IllegalArgumentException] {
test(new MuxGenerator(8, 3)) { c => }
}
}
}
}