ysyx-workbench/npc/csrc/main.cpp
tracer-ysyx 355bd72c8d > sim RTL
ysyx_22040000 李心杨
Linux calcite 6.1.65 #1-NixOS SMP PREEMPT_DYNAMIC Sun Dec  3 06:32:13 UTC 2023 x86_64 GNU/Linux
 17:27:29  up  20:25,  2 users,  load average: 0.48, 0.77, 0.76
2023-12-23 17:27:29 +08:00

11 lines
246 B
C++

#include "Vexample.h"
#include "verilated.h"
int main(int argc, char **argv, char **env) {
Verilated::commandArgs(argc, argv);
Vexample *top = new Vexample;
while (!Verilated::gotFinish()) {
top->eval();
}
exit(0);
}