$version Generated by VerilatedVcd $end $timescale 1ps $end $scope module TOP $end $var wire 1 N clock $end $var wire 1 O reset $end $scope module Flow $end $var wire 1 N clock $end $var wire 1 O reset $end $scope module alu $end $var wire 4 P control_op [3:0] $end $var wire 1 Q control_src $end $var wire 32 # in_a_0 [31:0] $end $var wire 32 $ in_a_1 [31:0] $end $var wire 32 % in_b [31:0] $end $var wire 32 & out_result [31:0] $end $upscope $end $scope module control $end $var wire 1 Q reg_writeEnable $end $var wire 1 R reg_writeSelect $end $var wire 1 R pc_srcSelect $end $var wire 4 P alu_op [3:0] $end $var wire 1 Q alu_src $end $var wire 8 S out [7:0] $end $upscope $end $scope module pc $end $var wire 1 N clock $end $var wire 1 O reset $end $var wire 1 R control_srcSelect $end $var wire 32 ' in_pcSrcs_0 [31:0] $end $var wire 32 & in_pcSrcs_1 [31:0] $end $var wire 32 ( out [31:0] $end $var wire 32 ( pc [31:0] $end $upscope $end $scope module ram_mem_ext $end $var wire 10 ) R0_addr [9:0] $end $var wire 1 Q R0_en $end $var wire 1 N R0_clk $end $var wire 32 * R0_data [31:0] $end $upscope $end $scope module reg_core $end $var wire 1 N clock $end $var wire 1 O reset $end $var wire 1 Q writePort_enable $end $var wire 5 + writePort_addr [4:0] $end $var wire 32 & writePort_data [31:0] $end $var wire 5 , readPorts_0_addr [4:0] $end $var wire 32 # readPorts_0_data [31:0] $end $var wire 5 - readPorts_1_addr [4:0] $end $var wire 32 % readPorts_1_data [31:0] $end $var wire 32 . regFile_0 [31:0] $end $var wire 32 / regFile_1 [31:0] $end $var wire 32 0 regFile_2 [31:0] $end $var wire 32 1 regFile_3 [31:0] $end $var wire 32 2 regFile_4 [31:0] $end $var wire 32 3 regFile_5 [31:0] $end $var wire 32 4 regFile_6 [31:0] $end $var wire 32 5 regFile_7 [31:0] $end $var wire 32 6 regFile_8 [31:0] $end $var wire 32 7 regFile_9 [31:0] $end $var wire 32 8 regFile_10 [31:0] $end $var wire 32 9 regFile_11 [31:0] $end $var wire 32 : regFile_12 [31:0] $end $var wire 32 ; regFile_13 [31:0] $end $var wire 32 < regFile_14 [31:0] $end $var wire 32 = regFile_15 [31:0] $end $var wire 32 > regFile_16 [31:0] $end $var wire 32 ? regFile_17 [31:0] $end $var wire 32 @ regFile_18 [31:0] $end $var wire 32 A regFile_19 [31:0] $end $var wire 32 B regFile_20 [31:0] $end $var wire 32 C regFile_21 [31:0] $end $var wire 32 D regFile_22 [31:0] $end $var wire 32 E regFile_23 [31:0] $end $var wire 32 F regFile_24 [31:0] $end $var wire 32 G regFile_25 [31:0] $end $var wire 32 H regFile_26 [31:0] $end $var wire 32 I regFile_27 [31:0] $end $var wire 32 J regFile_28 [31:0] $end $var wire 32 K regFile_29 [31:0] $end $var wire 32 L regFile_30 [31:0] $end $var wire 32 M regFile_31 [31:0] $end $upscope $end $upscope $end $upscope $end $enddefinitions $end #0 b00000000000000000000000000000000 # b00000000000000000000000000000000 $ b00000000000000000000000000000000 % b00000000000000000000000000000000 & b00000000000000000000000000000100 ' b00000000000000000000000000000000 ( b0000000000 ) b00000000000000000000000000000000 * b00000 + b00000 , b00000 - b00000000000000000000000000000000 . b00000000000000000000000000000000 / b00000000000000000000000000000000 0 b00000000000000000000000000000000 1 b00000000000000000000000000000000 2 b00000000000000000000000000000000 3 b00000000000000000000000000000000 4 b00000000000000000000000000000000 5 b00000000000000000000000000000000 6 b00000000000000000000000000000000 7 b00000000000000000000000000000000 8 b00000000000000000000000000000000 9 b00000000000000000000000000000000 : b00000000000000000000000000000000 ; b00000000000000000000000000000000 < b00000000000000000000000000000000 = b00000000000000000000000000000000 > b00000000000000000000000000000000 ? b00000000000000000000000000000000 @ b00000000000000000000000000000000 A b00000000000000000000000000000000 B b00000000000000000000000000000000 C b00000000000000000000000000000000 D b00000000000000000000000000000000 E b00000000000000000000000000000000 F b00000000000000000000000000000000 G b00000000000000000000000000000000 H b00000000000000000000000000000000 I b00000000000000000000000000000000 J b00000000000000000000000000000000 K b00000000000000000000000000000000 L b00000000000000000000000000000000 M 1N 1O b0000 P 1Q 0R b10000001 S #1 b10000000000000000000000000000100 ' b10000000000000000000000000000000 ( b00000000000010000100000100010011 * b00010 + b10000 , 0N #2 1N #3 0N #4 1N #5 0N #6 1N #7 0N #8 1N #9 0N #10 1N #11 0N #12 1N #13 0N #14 1N #15 0N #16 1N #17 0N #18 1N #19 0N #20 1N #21 0N #22 1N #23 0N #24 1N #25 0N #26 1N #27 0N #28 1N #29 0N #30 1N #31 0N #32 1N #33 0N #34 1N #35 0N #36 1N #37 0N #38 1N #39 0N #40 1N #41 0N #42 1N #43 0N #44 1N #45 0N #46 1N #47 0N #48 1N #49 0N #50 1N #51 0N #52 1N #53 0N #54 1N #55 0N #56 1N #57 0N #58 1N #59 0N #60 1N #61 0N #62 1N #63 0N #64 1N #65 0N #66 1N #67 0N #68 1N #69 0N #70 1N #71 0N #72 1N #73 0N #74 1N #75 0N #76 1N #77 0N #78 1N #79 0N #80 1N #81 0N #82 1N #83 0N #84 1N #85 0N #86 1N #87 0N #88 1N #89 0N #90 1N #91 0N #92 1N #93 0N #94 1N #95 0N #96 1N #97 0N #98 1N #99 0N