From f715cbd94114f8c26ab4e7bf07cb2cfcad49c7f1 Mon Sep 17 00:00:00 2001 From: tracer-ysyx Date: Tue, 9 Jan 2024 20:33:44 +0800 Subject: [PATCH] =?UTF-8?q?>=20configure(npc)=20=20ysyx=5F22040000=20?= =?UTF-8?q?=E6=9D=8E=E5=BF=83=E6=9D=A8=20=20Linux=20calcite=206.1.69=20#1-?= =?UTF-8?q?NixOS=20SMP=20PREEMPT=5FDYNAMIC=20Wed=20Dec=2020=2016:00:29=20U?= =?UTF-8?q?TC=202023=20x86=5F64=20GNU/Linux=20=20=2020:33:44=20=20up=201?= =?UTF-8?q?=20day=2019:34,=20=202=20users,=20=20load=20average:=200.48,=20?= =?UTF-8?q?0.78,=200.73?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- npc/core/src/main/scala/Keyboard.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/npc/core/src/main/scala/Keyboard.scala b/npc/core/src/main/scala/Keyboard.scala index da8fae3..92126e3 100644 --- a/npc/core/src/main/scala/Keyboard.scala +++ b/npc/core/src/main/scala/Keyboard.scala @@ -59,7 +59,7 @@ class KeyboardController extends Module { class SegHandler(seg_count: Int) extends Module { val io = IO(new Bundle { val keycode = Flipped(Decoupled(UInt(8.W))) - val segs = Output(Vec(seg_count, UInt(4.W))) + val segs = Output(Vec(seg_count / 2, UInt(8.W))) })