> sim RTL

ysyx_22040000 李心杨
Linux calcite 6.1.65 #1-NixOS SMP PREEMPT_DYNAMIC Sun Dec  3 06:32:13 UTC 2023 x86_64 GNU/Linux
 19:57:21  up  22:55,  2 users,  load average: 1.18, 0.73, 0.57
This commit is contained in:
tracer-ysyx 2023-12-23 19:57:21 +08:00 committed by xinyangli
parent 8b71fb7db5
commit d3d2eaf59e

View file

@ -9,6 +9,8 @@ int main(int argc, char **argv, char **env) {
int round = 100;
Verilated::commandArgs(argc, argv);
Vexample *top = new Vexample;
Verilated::traceEverOn(true);
VerilatedVcdC *m_trace = new VerilatedVcdC;
top->trace(m_trace, 5);
m_trace->open("waveform.vcd");