diff --git a/npc/core/src/main/scala/Main.scala b/npc/core/src/main/scala/Main.scala index bf1ffab..c06bc8e 100644 --- a/npc/core/src/main/scala/Main.scala +++ b/npc/core/src/main/scala/Main.scala @@ -2,10 +2,8 @@ package npc import chisel3._ import chisel3.util.{MuxLookup, Fill, Decoupled, Counter, Queue, Reverse} -import chisel3.util.{SRAM} import chisel3.stage.ChiselOption -import npc.util.{ KeyboardSegController, RegisterFile } -import flowpc.components.ProgramCounter +import npc.util.KeyboardSegController class Switch extends Module { val io = IO(new Bundle { @@ -33,9 +31,3 @@ class Keyboard extends Module { io.segs := seg_handler.io.segs } -class Flowpc extends Module { - val io = IO(new Bundle { }) - val register_file = new RegisterFile(readPorts = 2); - val pc = new ProgramCounter(32); - val adder = new SRAM() -} diff --git a/npc/core/src/main/scala/ProgramCounter.scala b/npc/core/src/main/scala/ProgramCounter.scala deleted file mode 100644 index 0687f9a..0000000 --- a/npc/core/src/main/scala/ProgramCounter.scala +++ /dev/null @@ -1,11 +0,0 @@ -package flowpc.components -import chisel3._ -import chisel3.util.{Valid} - -class ProgramCounter (width: Int) extends Module { - val io = new Bundle { - val next_pc = Input(Flipped(Valid(UInt(width.W)))) - val pc = Output(UInt(width.W)) - } - io.pc := Mux(io.next_pc.valid, io.next_pc.bits, io.pc) -}