> build_npc_VKeyboard_nvboard

ysyx_22040000 李心杨
 Linux calcite 6.1.69 #1-NixOS SMP PREEMPT_DYNAMIC Wed Dec 20 16:00:29 UTC 2023 x86_64 GNU/Linux
  21:44:14  up 2 days 20:44,  2 users,  load average: 1.59, 1.63, 1.35
This commit is contained in:
tracer-ysyx 2024-01-10 21:44:14 +08:00 committed by xinyangli
parent 3819674d60
commit a260adda92

View file

@ -64,6 +64,7 @@ class KeyboardSegController extends Module {
io.keycode.ready := true.B
}
// 0x1C.U -> 0x41.U, ...
val keycode_to_ascii = Seq(
0x1C.U, 0x32.U, 0x21.U, 0x23.U, 0x24.U, 0x2B.U,
0x34.U, 0x33.U, 0x43.U, 0x3B.U, 0x42.U, 0x4B.U,
@ -80,9 +81,7 @@ class KeyboardSegController extends Module {
when(io.keycode.bits === 0xF0.U) {
release_state := true.B
}.elsewhen(!release_state) {
when(io.keycode.bits =/= keycode) {
counter.inc()
}
counter.inc()
keycode := io.keycode.bits
}.otherwise{
// Release code on io.keycode.bits