From 8a539713b6ac69f464d75f5afce15efcc539bded Mon Sep 17 00:00:00 2001 From: tracer-ysyx Date: Wed, 10 Jan 2024 15:33:27 +0800 Subject: [PATCH] =?UTF-8?q?>=20build=5Fnpc=5FVSegHandler=5Fnvboard=20=20ys?= =?UTF-8?q?yx=5F22040000=20=E6=9D=8E=E5=BF=83=E6=9D=A8=20=20Linux=20calcit?= =?UTF-8?q?e=206.1.69=20#1-NixOS=20SMP=20PREEMPT=5FDYNAMIC=20Wed=20Dec=202?= =?UTF-8?q?0=2016:00:29=20UTC=202023=20x86=5F64=20GNU/Linux=20=20=2015:33:?= =?UTF-8?q?27=20=20up=202=20days=2014:34,=20=202=20users,=20=20load=20aver?= =?UTF-8?q?age:=205.23,=202.91,=202.08?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- npc/core/src/main/scala/Keyboard.scala | 20 ++++---------------- 1 file changed, 4 insertions(+), 16 deletions(-) diff --git a/npc/core/src/main/scala/Keyboard.scala b/npc/core/src/main/scala/Keyboard.scala index 53eff3e..4bc644f 100644 --- a/npc/core/src/main/scala/Keyboard.scala +++ b/npc/core/src/main/scala/Keyboard.scala @@ -68,22 +68,10 @@ class SegGenerator(seg_count: Int) extends Module { val last_keycode = RegInit(0.U(8.W)) val counter = Counter(0xFF) val digit_to_seg = ((0 until 16).map(_.U)).zip(Seq( - "b11111101".U, // 0 - "b01100000".U, // 1 - "b11011010".U, // 2 - "b11110010".U, // 3 - "b01100110".U, // 4 - "b10110110".U, // 5 - "b10111110".U, // 6 - "b11100000".U, // 7 - "b11111110".U, // 8 - "b11110110".U, // 9 - "b11101110".U, // A - "b00111110".U, // B - "b10011100".U, // C - "b01111010".U, // D - "b10011110".U, // E - "b10001110".U // F + "b00000010".U, "b10011111".U, "b00100101".U, "b00001101".U, + "b10011001".U, "b01001001".U, "b01000001".U, "b00011111".U, + "b00000001".U, "b00001001".U, "b00010001".U, "b11000001".U, + "b01100011".U, "b10000101".U, "b01100001".U, "b01110001".U )) val keycode_digits = VecInit(io.keycode.bits(3,0)) ++ VecInit(io.keycode.bits(7,4))