From 3595b4802e0f316a51aae5ddb1068c63dafb574b Mon Sep 17 00:00:00 2001 From: tracer-ysyx Date: Wed, 10 Jan 2024 17:25:37 +0800 Subject: [PATCH] =?UTF-8?q?>=20configure(npc)=20=20ysyx=5F22040000=20?= =?UTF-8?q?=E6=9D=8E=E5=BF=83=E6=9D=A8=20=20Linux=20calcite=206.1.69=20#1-?= =?UTF-8?q?NixOS=20SMP=20PREEMPT=5FDYNAMIC=20Wed=20Dec=2020=2016:00:29=20U?= =?UTF-8?q?TC=202023=20x86=5F64=20GNU/Linux=20=20=2017:25:37=20=20up=202?= =?UTF-8?q?=20days=2016:26,=20=202=20users,=20=20load=20average:=200.75,?= =?UTF-8?q?=200.79,=200.76?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- npc/core/src/main/scala/Main.scala | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/npc/core/src/main/scala/Main.scala b/npc/core/src/main/scala/Main.scala index 78f24ae..c3a1444 100644 --- a/npc/core/src/main/scala/Main.scala +++ b/npc/core/src/main/scala/Main.scala @@ -85,7 +85,7 @@ import npc.keyboard._ class Keyboard extends Module { val io = IO(new Bundle { val ps2 = PS2Port() - val segs = Output(Vec(3, UInt(8.W))) + val segs = Output(Vec(8, UInt(8.W))) }) val seg_handler = Module(new SegGenerator(seg_count = 8))