From 1a698bb4d28d57eccd3607ccb15d7f072edac394 Mon Sep 17 00:00:00 2001 From: tracer-ysyx Date: Wed, 10 Jan 2024 15:34:59 +0800 Subject: [PATCH] =?UTF-8?q?>=20build=5Fnpc=5FVSegHandler=5Fnvboard=20=20ys?= =?UTF-8?q?yx=5F22040000=20=E6=9D=8E=E5=BF=83=E6=9D=A8=20=20Linux=20calcit?= =?UTF-8?q?e=206.1.69=20#1-NixOS=20SMP=20PREEMPT=5FDYNAMIC=20Wed=20Dec=202?= =?UTF-8?q?0=2016:00:29=20UTC=202023=20x86=5F64=20GNU/Linux=20=20=2015:34:?= =?UTF-8?q?59=20=20up=202=20days=2014:35,=20=202=20users,=20=20load=20aver?= =?UTF-8?q?age:=203.01,=202.66,=202.06?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- npc/core/src/main/scala/Keyboard.scala | 8 ++++---- 1 file changed, 4 insertions(+), 4 deletions(-) diff --git a/npc/core/src/main/scala/Keyboard.scala b/npc/core/src/main/scala/Keyboard.scala index 4bc644f..2b39e42 100644 --- a/npc/core/src/main/scala/Keyboard.scala +++ b/npc/core/src/main/scala/Keyboard.scala @@ -68,10 +68,10 @@ class SegGenerator(seg_count: Int) extends Module { val last_keycode = RegInit(0.U(8.W)) val counter = Counter(0xFF) val digit_to_seg = ((0 until 16).map(_.U)).zip(Seq( - "b00000010".U, "b10011111".U, "b00100101".U, "b00001101".U, - "b10011001".U, "b01001001".U, "b01000001".U, "b00011111".U, - "b00000001".U, "b00001001".U, "b00010001".U, "b11000001".U, - "b01100011".U, "b10000101".U, "b01100001".U, "b01110001".U + "b00000010".U, "b10011110".U, "b00100100".U, "b00001100".U, + "b10011000".U, "b01001000".U, "b01000000".U, "b00011110".U, + "b00000000".U, "b00001000".U, "b00010000".U, "b11000000".U, + "b01100010".U, "b10000100".U, "b01100000".U, "b01110000".U )) val keycode_digits = VecInit(io.keycode.bits(3,0)) ++ VecInit(io.keycode.bits(7,4))