diff --git a/npc/core/src/main/scala/Keyboard.scala b/npc/core/src/main/scala/Keyboard.scala index 4bc644f..2b39e42 100644 --- a/npc/core/src/main/scala/Keyboard.scala +++ b/npc/core/src/main/scala/Keyboard.scala @@ -68,10 +68,10 @@ class SegGenerator(seg_count: Int) extends Module { val last_keycode = RegInit(0.U(8.W)) val counter = Counter(0xFF) val digit_to_seg = ((0 until 16).map(_.U)).zip(Seq( - "b00000010".U, "b10011111".U, "b00100101".U, "b00001101".U, - "b10011001".U, "b01001001".U, "b01000001".U, "b00011111".U, - "b00000001".U, "b00001001".U, "b00010001".U, "b11000001".U, - "b01100011".U, "b10000101".U, "b01100001".U, "b01110001".U + "b00000010".U, "b10011110".U, "b00100100".U, "b00001100".U, + "b10011000".U, "b01001000".U, "b01000000".U, "b00011110".U, + "b00000000".U, "b00001000".U, "b00010000".U, "b11000000".U, + "b01100010".U, "b10000100".U, "b01100000".U, "b01110000".U )) val keycode_digits = VecInit(io.keycode.bits(3,0)) ++ VecInit(io.keycode.bits(7,4))